华大九天的主营业务 SZ301269.主板 可融资 深股通 上市未满6年

04月29日: 预计财报发布

价: 79.31 (-0.99%)
估值日期: 昨天
PE/扣非PE: 178.19/300.00
市净率PB: 9.16
股息率: 0.19%
ROE: 5.23%
A股市值: 435亿
行业: 计算机应用
净利润同比: +51.90%.23Q3
北上持股: 1.47% 5日0.0%
今年来涨: -25.07%
上市日期: 2022.07

公司名称:北京华大九天科技股份有限公司 所属省份:北京市 所有制性质:其他 成立日期:2009.05 员工人数:875
主要业务:技术推广服务;软件设计;产品设计;计算机系统服务;货物进出口;代理进出口;技术进出口;集成电路设计;出租办公用房;软件开发;销售电子产品。(市场主体依法自主选择经营项目,开展经营活动;依法须经批准的项目,经相关部门批准后依批准的内容开展经营活动;不得从事国家和本市产业政策禁止和限制类项目的经营活动)。
主营业务 - 历史详情
主营业务
查看历年营收、净利润
经营概述 - 2023中报
一、报告期内公司从事的主要业务
(一)报告期内公司所处行业情况
集成电路是国家的支柱性产业,在引领新一轮科技革命和产业变革中起到关键作用,也是加速数字经济赋能升级、支撑新基建高质量发展的战略性、基础性和先导性产业。集成电路产业包括EDA工具、芯片设计、晶圆制造、封装测试、设备与零部件制造和材料供应等各个环节,这些环节相互依存,形成了完整的集成电路产业链。其中,EDA工具与设备、材料并称为集成电路产业的三大战略基础支柱。公司主要从事用于集成电路设计、制造和封装的EDA工具软件开发、销售及相关服务业务。
根据世界半导体贸易统计组织(WSTS)的数据,2022年全球集成电路市场规模为5,735亿美元,同比增长3.2%。EDA作为集成电路产业的战略基础支柱之一,其行业状况与集成电路产业发展情况息息相关。根据国际半导体产业协会(SEMI) 数据显示,2022年全球EDA销售额为87.68亿美元,同比增长12.2%,其中中国大陆EDA销售额为11.65亿美元,同比增长19.2%,占全球市场的13.3%。
EDA行业市场集中度较高,全球EDA行业主要由楷登电子、新思科技和西门子EDA垄断,上述三家公司属于具有显著领先优势的第一梯队。华大九天与其他几家企业,凭借部分领域的全流程工具或在局部领域的领先优势,位列全球EDA行业的第二梯队。第三梯队的企业主要聚焦于某些特定领域或用途的点工具,整体规模和产品完整度与前两大梯队的企业存在明显的差距。
对于国内EDA市场,目前仍由国际三巨头占据主导地位,国内EDA供应商目前所占市场份额较小。华大九天通过十余年发展再创新,不断丰富和完善模拟电路设计全流程EDA工具系统、存储电路设计全流程EDA工具系统、射频电路设计全流程EDA工具系统、数字电路设计EDA工具、平板显示电路设计全流程EDA工具系统、晶圆制造EDA工具和先进封装设计EDA工具等解决方案,并持续获得市场突破。
EDA工具保证了各阶段、各层次设计过程的准确性,降低了设计成本、缩短了设计周期、提高了设计效率,是集成电路产业产能性能的源头,EDA工具的发展加速了集成电路产业的技术革新。在当前集成电路产业快速发展的大背景下,EDA行业主要呈现如下趋势:
1、后摩尔时代技术演进驱动EDA技术应用延伸拓展
在后摩尔时代,由“摩尔定律”驱动的芯片集成度和复杂度持续提升将为EDA工具发展带来新需求。在设计方法学层面,EDA工具的发展方向主要包括系统级或行为级的软硬件协同设计方法、跨层级芯片协同验证方法、面向设计制造与封测相融合的设计方法和芯片敏捷设计方法等四个方面。其中,系统级或行为级的软硬件协同设计方法可以让设计师在完成芯片行为设计的基础上自动完成后续的芯片硬件的具体实现,同时支持同步开展应用软件的开发,以达到设计效率提升的目的。跨层级芯片协同验证方法则强调验证工作实现芯片设计与封装、印制电路板甚至整个应用系统相组合的跨层级协同验证,以确保设计的正确性。面向设计制造与封测相融合的设计方法则追求在芯片设计的各个阶段实现与制造工艺的融合,以期提升芯片最终生产良率。芯片敏捷设计方法则通过算法和软件需求定义芯片架构实现快速设计和快速迭代。此外,在后摩尔时代,芯粒(Chiplet)技术已成为重要的发展方向。芯粒技术将不同工艺节点和不同材质的芯片通过先进的集成技术(如3D集成技术)封装集成在一起,形成一个系统芯片,实现了一种新形式的IP复用。这一过程需要EDA工具提供全面支持,促进EDA技术应用的延伸拓展。
综上,后摩尔时代技术从单芯片的集成规模、功能集成、工艺、材料等方面的演进驱动着EDA技术的进步和其应用的延伸拓展。
2、设计方法学创新辅助平抑芯片设计成本
EDA工具的发展创新极大程度提高了芯片设计效率。EDA工具技术的进步和应用的推广一直以来是推动芯片设计成本保持在合理范围的重要方式。根据加州大学圣迭戈分校AndrewKahng教授在2013年的推测,2011年设计一款消费级应用处理器芯片的成本约4,000万美元,如果不考虑1993年至2009年的EDA技术进步,相关设计成本可能高达77亿美元,EDA技术进步让设计效率提升近200倍。同时,可重复使用的平台模块、异构并行处理器的应用、基于先进封装集成技术的芯粒技术等成为驱动设计效率提升的重要方式,而上述方式的应用同样也是与EDA技术的进步相辅相成的。因此,EDA工具的发展从整体上提升了芯片设计的效率,从而平抑了芯片设计的总体成本。
3、人工智能技术将在EDA领域扮演更重要的角色
近年来,伴随芯片设计基础数据规模的不断增加、系统运算能力的阶跃式上升,人工智能技术在EDA领域的应用出现了新的发展契机。另一方面,芯片复杂度的提升以及设计效率需求的提高同样要求人工智能技术赋能EDA工具的升级,辅助降低芯片设计门槛、提升芯片设计效率。2017年美国国防部高级研究计划局(DARPA)推出的“电子复兴计划(ERI)”中的电子设备智能设计(IDEA)项目,描绘出新的AI技术赋能EDA工具发展目标与方向。其中,提出的目标是实现“设计工具在版图设计中无人干预的能力”,即通过人工智能和机器学习的方法将设计经验固化,进而形成统一的版图生成器,以期实现通过版图生成器在24小时之内完成SoC(系统级芯片)、SiP(系统级封装)和印刷电路板(PCB)的版图设计。
4、云技术在EDA领域的应用日趋深入
伴随EDA云平台的逐步发展,云技术在EDA领域的应用第一可以有效避免芯片设计企业因流程管理、计算资源不足带来的研发风险,保障企业研发生产效率;第二可以有效降低企业在服务器配置和维护方面的费用,让企业根据实际需求更加灵活地使用计算资源;第三可以使芯片设计工作摆脱物理环境制约,尤其在居家办公需求下令EDA云平台发挥了重要作用;第四有助于EDA技术在教育领域的推广和应用,支持设计人才培养等相关工作。
(二)报告期内公司从事的主要业务
公司主要从事用于集成电路设计、制造和封装的EDA工具软件开发、销售及相关服务业务。EDA是ElectronicDesignAutomation的简称,即电子设计自动化。运用EDA技术形成的工具称为EDA工具。打开芯片的封装外壳,在高倍显微镜下对其表面进行观察,将会看到无数规则摆放的器件和连线,这就是芯片的版图。设计和制造这个版图的各个环节都需要用到相应的EDA工具。EDA工具是集成电路设计、制造、封装、测试等工作的必备工具,是贯穿整个集成电路产业链的战略基础支柱之一。随着集成电路产业的快速发展,设计规模、复杂度、工艺先进性等不断提升,EDA工具的作用更加突出,已成为提高设计效率、加速产业技术进步与革新的关键因素。
经过多年的持续研发和技术积累,截至2023年6月30日,公司已获得授权专利239项和已登记软件著作权129项。报告期内,公司EDA领域研发投入金额为31,379.37万元,主要用于集成电路设计及制造领域的EDA工具研发。
截至本报告期末,公司拥有员工875人,其中研发技术人员658人,占公司员工总数的75.2%。研发团队以研究生及以上学历为主,其中硕士研究生及以上学历442人,占研发人员总数的67.2%。截至本报告期末,公司核心技术人员未有离职情况。
(三)主要产品及用途
公司原有产品包括模拟电路设计全流程EDA工具系统、数字电路设计EDA工具、平板显示电路设计全流程EDA工具系统、晶圆制造EDA工具和先进封装设计EDA工具等软件,报告期内,公司新推出了存储电路设计全流程EDA工具系统和射频电路设计全流程EDA工具系统等软件。除了上述软件,公司还围绕相关领域提供技术开发服务。公司产品和服务主要应用于集成电路设计、制造及封装领域。
公司EDA工具软件产品情况介绍如下:
1、模拟电路设计全流程EDA工具系统
模拟集成电路设计是指对模拟电路进行结构设计、版图设计、功能和物理验证的全过程。这一过程包括原理图编辑、电路仿真、版图编辑、物理验证、寄生参数提取、可靠性分析等环节。
模拟电路的设计从原理图设计开始。原理图包含抽象化的器件符号及连线,这些符号表示晶体管、电阻、电容等。为了确保电路工作正确,设计师需要用到电路仿真工具以模拟电路的功能、性能等,设计师根据仿真的结果不断优化电路设计。仿真环节使设计师不用将电路真正制造出来去检查电路是否正确,节省了大量的时间和成本。
此后,芯片设计进入版图设计环节。版图设计主要包括版图的布局和布线,通过版图设计工具将每个器件放置到合适位置,并用图形将各个器件进行正确的连接。版图设计完成后,需进行物理验证,以确保版图与原理图相同并且符合晶圆制造的要求。由于器件、金属线等都存在寄生电阻和电容,这些电阻电容会对电路的实际工作产生影响。因此完成物理验证后,还需对版图进行寄生参数提取,产生包含寄生参数的后仿真电路网表,并通过后仿真来验证电路实际工作的各项功能和性能。
此外,由于压降的存在会直接影响器件的工作性能,而电流密度局部过大会导致金属连线和器件工作失效。因此除了以上的各项验证环节外,压降和电流密度等可靠性分析也是模拟电路设计必不可少的验证环节。
公司是我国唯一能够提供模拟电路设计全流程EDA工具系统的本土EDA企业。该EDA工具系统包括原理图编辑工具、版图编辑工具、电路仿真工具、物理验证工具、寄生参数提取工具和可靠性分析工具
等,为用户提供了从电路到版图、从设计到验证的一站式完整解决方案。
公司模拟电路设计全流程EDA工具系统主要客户群体为集成电路设计企业,包括从事模拟芯片设计和大规模系统级芯片设计的企业,主要用于模拟芯片和系统级芯片中模拟电路模块的设计和验证。模拟芯片主要包括电源管理类芯片和信号链芯片等。电源管理芯片是在电子设备系统中担负起对电能的变换、分配、检测及其他电能管理职责的芯片,在不同产品应用中发挥不同的电压、电流管理功能,需要针对不同的应用采用不同的电路设计。信号链芯片是系统中信号从输入到输出路径中使用的芯片,包括信号的采集、放大、传输、处理等功能。系统级芯片包括网络芯片、智能手机处理器芯片等,这些芯片中也包含电源控制、数模转换等模拟设计模块。模拟芯片和系统级芯片被广泛应用于计算机、网络通讯、数据中心、照明、家用电器、智能家居、消费类电子等领域。
2、存储电路设计全流程EDA工具系统
存储芯片作为电子系统的粮仓、数据的载体,是集成电路中不可或缺的组成部分,在消费电子、智能终端等领域有着广泛的应用。近年来随着汽车电子、5G通讯、物联网、可穿戴等热门新兴领域的崛起,以及中国在电子制造领域水平的不断进步,国内存储芯片产品的需求量逐年攀升。
存储电路设计流程与模拟电路类似,但因存储设计包含大量存储阵列单元,设计规模大、工艺制程特殊、设计可靠性要求高等特点,对存储电路设计工具提出了更高的要求,需要更大容量、适配存储设计特点的电路图版图设计平台、大容量快速仿真器以及适用于存储阵列特点的物理验证和高可靠性分析等工具。
存储电路设计的核心是全定制电路设计,报告期内,公司针对存储电路设计特点新推出了存储电路
全定制设计全流程EDA工具系统。该系统包括存储电路原理图编辑工具、存储电路版图编辑工具、电路仿真工具、存储电路快速仿真工具、存储电路物理验证工具、存储电路寄生参数提取工具和存储电路可靠性分析工具等,为用户提供了从电路到版图、从设计到验证的一站式完整解决方案。存储电路全定制设计全流程EDA工具系统。
存储电路设计各工具介绍如下:
针对存储设计规模大、阵列单元重复率高的特点,公司在全定制设计平台Aether上开发了独特的阵列显示优化技术,极大提升了存储电路和版图的显示效率和精度。同时,针对存储设计需要大量定制化功能开发的特点,特别为设计平台适配了开放的Python脚本系统,为存储电路设计的扩展开发提供了更强大、便利的接口和生态支撑。另外,通过与存储设计合作伙伴的合作,特别推出了面向存储设计的自动化与集成化功能和流程,减少了大量繁复的手工操作,缩短了设计周期,提高了设计效率。
针对存储设计规模大、结构复杂的特点,且由于工艺演进带来的大规模寄生电阻电容的影响,存储设计仿真验证成为了存储设计的瓶颈。为了解决这一问题,报告期内公司新推出了面向存储设计的超大容量快速仿真器ALPS-FS。该工具基于存储电路特点,采用了阵列数据结构及同态技术,支持海量元器件规模,特别是包含电源网络的全芯片存储器电路。同时,该工具基于多重速率技术和矩阵优化求解技术,支持更快速、全面的包含寄生参数信息的版图后仿真,提供了精准的包含电压变化的时序测量,显著提升了仿真效率。
存储设计规模大、工艺复杂且设计中存在大量重复的阵列单元,物理验证精度要求高、验证耗时长,已经成为存储设计的重要瓶颈。公司在物理验证工具ArgusDRC/LVS中针对性的开发了重复阵列和重叠层次优化技术,相较传统的物理验证工具性能提升了2-3倍,有效的解决了大规模存储设计版图验证的效率瓶颈;开发了基于Pattern的辅助分析调试模块,帮助设计工程师快速的归纳和定位设计错误,极大的减少了存储设计验证迭代的周期。同时,针对存储设计可靠性要求高的特点,报告期内,公司新推出了电气规则检查模块ArgusPERC,用于存储电路常用的点到点电阻网络分析检查、最短电路路径检查、ESD/LU/IR等电气规则的分析验证,有效支撑了存储设计的可靠性设计分析需求。此外,存储设计的版图RAM一致性对存储设计至关重要,报告期内,公司新推出了基于模式识别的物理验证模块ArgusPM,可快速、准确地定位RAM改动,为存储设计的良率提升提供了重要的产品和技术支撑。
针对存储设计工艺特征尺寸小、寄生效应复杂、存储单元大量重复等特点,寄生参数提取工具RCExplorer通过优化内建模型库并结合高精度的三维提取引擎(3DFieldSolver),支持对复杂器件结构下的寄生效应进行建模;同时该工具改进了内部扫描线算法,结合多线程和多机并行模式,提升了重复存储单元场景的寄生提取效率。
为了解决大规模存储电路特别是带有寄生电阻电容的超大电源网络的EMIR分析验证的容量和效率问题,晶体管级电源完整性分析工具Patron针对存储重复单元阵列的特点,采用重用共享技术,进行了性能和内存优化。同时,该工具基于电流、电压混合迭代方法,优化了先进工艺制程上更为复杂的EM规则检查,支持考虑热效应的电源和信号网络的快速动态EM分析,支持电源网络的完整性分析,并提供最短路径分析功能,加快了设计人员对电源网络的关键路径识别。另外,该工具能够快速导入EMIR分析结果,支持版图可视化反标功能,工具配置简洁易用,实现了存储电路EMIR签核加速。
3、射频电路设计全流程EDA工具系统
射频电路是指能通过天线向外界发射或接收高频电磁波的电路,主要用于无线传输,在通信系统、航空航天、汽车雷达以及自动识别系统中具有广泛的应用。随着无线通信技术的不断发展和智能设备的普及,射频芯片的需求持续增加,同时,5G毫米波通信和汽车电子等应用的快速增长也为射频集成电路行业迅速发展带来了更多机遇。
射频电路按照制造工艺的不同,分为硅基射频电路和化合物射频电路两大类。其中,以CMOS工艺为主的硅基射频电路,成本较低且易与其他电路集成,广泛应用于无线通信系统;以砷化镓、磷化铟和氮化镓等工艺为主的化合物射频电路,频率高、噪声低、输出功率大,主要用于微波、毫米波频段的单片集成电路设计。
射频电路设计流程与模拟电路具有一定的相似性,是指通过对射频电路进行电路设计、版图设计、功能仿真和物理验证以实现射频设计的全过程。这一过程通常包括射频器件模型提取、电路原理图编辑、电路仿真、版图编辑、电磁场仿真、电路电磁场联合仿真、物理验证等多个环节。
射频电路分为硅基工艺及化合物工艺,其中,硅基工艺射频电路全流程设计可以通过公司模拟电路设计全流程EDA工具系统实现;此外,根据化合物工艺射频电路设计的特殊性,报告期内,公司新推出了化合物射频电路设计全流程EDA工具系统,形成了完整的射频电路设计全流程EDA工具系统。该系统是国内唯一的射频电路设计全流程EDA工具系统,具体包括射频模型提取工具、射频电路原理图编辑工具、射频电路版图编辑工具、射频电路仿真工具、射频电路物理验证工具等;同时,通过开放标准接口集成了合作伙伴的电磁场仿真工具,实现了射频电路设计全流程的贯通,为用户提供了从电路到版图、从设计到仿真验证的完整解决方案。该射频电路设计全流程EDA工具系统具体所示:
射频电路设计各工具介绍如下:
射频模型提取工具XModel-RF针对射频模型市场需求,聚焦于射频模型参数提取的各个环节,实现了器件测量、模型提取、模型验证等全部功能,可支持CMOS、化合物半导体等不同工艺,以及电容、电感、晶体管等多种器件的射频模型提取。此外,该工具内建模板为用户提供一键式的解决方案,并且提供脚本支持,满足了用户自主定制开发的相关要求。
报告期内,公司新推出了化合物射频电路设计平台AetherMW。该平台根据化合物射频电路的特点,开发了原理图编辑、版图编辑、射频电路综合、射频数据显示与分析、射频通用元器件库等工具及模块,并支持射频电路的优化、调谐与统计分析,解决了化合物射频电路从原理图到版图等各个环节的设计问题。
射频电路仿真工具ALPS-RF成功开发了频域、时域两大核心算法引擎,并以此为基础实现了大信号、小信号以及噪声分析等完整的射频仿真功能,满足了射频电路设计的复杂仿真需求;此外,该工具采用了独创的高性能求解引擎,具有高精度及并行高速等特点,极大地提高了射频电路设计的仿真效率。报告期内,射频电路仿真工具ALPS-RF在拓宽分析类型、深挖仿真性能上继续发力。在功能方面,拓展包络跟随分析,满足了涉及调制信号的系统性仿真需求。在性能方面,优化了噪声分析的矩阵求解算法,实现了噪声分析2倍加速,提高了射频电路设计的仿真效率。
由于射频芯片要考虑高频信号处理和电磁干扰等,对版图布局要求更加严谨,针对射频版图的更多设计要求,报告期内,物理验证工具Argus针对射频电路设计特点进行了技术升级和性能调优,实现了更加精确的器件耦合检查、布局布线合理性检查、45°走线检查以及对各类复杂图形做高精度的检查及器件提取功能,为用户提供signoff级别的验证服务。同时,提供了简单易用的调试功能,可帮助版图设计工程师快速定位版图设计错误、加速验证过程,并借助层次化并行、版图预处理等高性能引擎技术缩短了射频电路设计周期。
4、数字电路设计EDA工具
数字电路设计是指电路功能设计、逻辑综合、物理实现以及电路和版图分析验证的过程。这一过程通常分为数字前端和数字后端两部分,主要包括单元库建库、逻辑仿真、逻辑综合、布局布线、寄生参数提取、时序分析与优化、物理验证和版图集成与分析等环节。
数字前端设计流程从设计架构开始,用硬件语言对芯片功能进行描述编码;通过仿真工具进行逻辑仿真,检验设计代码的正确性;然后通过逻辑综合将设计代码映射到电路结构,输出描述数字电路结构的电路网表文件。
数字后端设计流程负责将前端设计生成的电路网表实现为可生产的物理版图。通过布局布线工具将电路网表中使用到的各种单元和IP在版图上进行合理摆放、连接,形成布局布线后的电路网表和版图;对布局布线后的版图进行寄生参数提取,再进行时序分析和优化、物理验证等工作,确认设计不存在功能和物理规则上的问题;最后进行版图集成,形成最终交付晶圆代工厂生产的版图。
公司的数字电路设计EDA工具为数字电路设计的部分环节提供了关键解决方案,具体包括单元库特征化提取工具、存储器电路特征化提取工具、混合信号电路模块特征化提取工具、单元库/IP质量验证工具、逻辑综合工具、时序功耗优化工具、高精度时序仿真分析工具、时钟质量检视与分析工具、版图集成与分析工具、大规模数字物理验证和大规模数字寄生参数提取工具等。主要工具所示:
逻辑综合是在用户时序和面积等约束条件下,将数字电路的寄存器传输级(RTL)描述转化为指定工艺下的门级电路网表的过程,是数字设计和实现流程的重要环节。
报告期内,公司新推出了逻辑综合工具ApexSyn,该工具实现了从RTL设计到门级网表的自动综合、扫描链电路插入,以及对设计进行性能、面积和功耗的优化。同时,工具支持多种LogicWare设计组件,使工具更易用,且提高了密集型数据通路设计结果的质量。目前,该工具已在多家客户实现应用落地。
5、平板显示电路设计全流程EDA工具系统
平板显示电路设计与模拟电路的设计理念、设计过程和设计原则有一定的相似性。公司在已有模拟电路设计工具的基础上,结合平板显示电路设计的特点,开发了全球领先的平板显示电路设计全流程EDA工具系统。
该EDA工具系统包含平板显示电路设计器件模型提取工具、平板显示电路设计原理图编辑工具、平板显示电路设计版图编辑工具、平板显示电路设计电路仿真工具、平板显示电路设计物理验证工具、平板显示电路设计寄生参数提取工具和平板显示电路设计可靠性分析工具等。以上工具被集成在统一的设计平台中,为设计师提供了一套从原理图到版图,从设计到验证的一站式解决方案,为提高平板显示电路设计效率,保证设计质量提供了有力的工具支撑。
公司平板显示电路设计全流程EDA工具系统具体所示:
报告期内,公司新推出了平板显示电路设计原理图和版图编辑工具AetherFPD的升级产品
AuroraFPD,该工具主要在核心数据库和版图编辑方面进行了技术升级,提升了版图编辑和显示的性能,增强了TP(触控面板)设计、极窄边框设计的功能和易用性,优化了OLED(有机发光二极管)面板布线技术,为平板显示的版图编辑提供了更高效、完整的解决方案。
6、晶圆制造EDA工具
公司针对晶圆制造厂的工艺开发和IP设计需求,提供了相关的晶圆制造EDA工具,公司晶圆制造领域原有工具包括器件模型提取工具、IBIS模型建模工具、光刻掩模版布局设计工具、存储器编译器开发工具、单元库特征化提取工具、存储器电路特征化提取工具、混合信号电路模块特征化提取工具、单元库/IP质量验证工具、版图集成与分析工具以及模拟电路设计全流程EDA工具等。
报告期内,公司在晶圆制造领域新推出了光刻掩膜版数据查看和分析工具GoldMaskViewer、参数化版图单元开发工具PCM、界面化版图单元开发工具PLM、测试芯片版图自动化生成工具TPM以及PDK自动化开发和验证平台PBQ等五款工具。各工具具体介绍如下:
光刻掩膜版数据查看和分析工具GoldMaskViewer是公司继光刻掩模版布局设计工具Mage之后发布的又一款应用于光刻掩模版制造流程的EDA工具。GoldMaskViewer支持对掩模版数据进行快速读取、查看、分析,提供了灵活的掩模版图形自动测量功能,以及图形密度计算、光罩数据比对、芯片交叠检查等分析手段。该工具主要用于掩模版实际生产前的数据检查分析,可以产生掩膜版检测阶段的参考数据,为掩模版数据分析提供了完备和高效的解决方案。
参数化版图单元开发工具PCM基于Python语言提供了丰富的参数化版图单元API,支持用户通过编写Python代码来开发设计PCell,创建和修改Python对象,完成几何图形、实例等对象的创建、移动、复制等功能。
界面化版图单元开发工具PLM以PCM为基础,提供易学习与操作的图像化用户接口,无需编程即可实现复杂和层次化的PCell编辑和设计,生成的Pcell库可直接应用于Aether等版图设计工具。该工具为PDK开发以及IP设计用户提供了PDK开发工具市场中效能与兼容性最佳的PCell设计解决方案。
测试芯片版图自动化生成工具TPM以界面化可变参数单元为基础,可以批量实现基本版图单元的生成以及测试版图的自动布局布线和拼接,大幅提高了测试芯片的设计效率,广泛应用于各种测试芯片的设计,如工艺模型测试芯片的快速生成等。
PDK自动化开发和验证平台PBQ支持PDK开发项目的管理,包括开发文件管理、代码编辑和PDK编译功能,实现PDK的高效开发。同时,提供了不同的PDK验证机制,可调用设计平台和物理验证工具,实现PDK的自动化验证,保证了PDK的质量。
目前,公司在已有工具基础上,在晶圆制造EDA的多个细分领域形成了多个解决方案,包括PDK套件开发方案、标准单元库和SRAM等基础IP的完整工具链支撑方案、光刻掩膜版数据准备和分析验证方案、物理规则验证和可制造性检查方案等,为晶圆制造厂提供了重要的工具和技术支撑。
公司在晶圆制造EDA各细分领域的解决方案具体所示:
7、先进封装设计EDA工具
封装是把晶圆厂生产出来的集成电路裸片(Die)放到一块起承载作用的基板上,用引线将Die上的集成电路与管脚互连,再把管脚引出来,然后固定包装成为一个整体。它可以起到保护芯片的作用,相当于是芯片的外壳,不仅能固定、密封芯片,完成信号分配,还能增强其电热性能。封装对集成电路而言非常重要。
传统封装通常是指将晶圆切割成单个芯片再进行封装的工艺形式。随着电子产品不断追求高速化、小型化、系统化和低成本化,传统封装的局限性越来越突出,先进封装应运而生。先进封装主要包括倒装芯片(FlipChip)封装、晶圆级封装(WaferLevelPackage)、2.5D封装和3D封装等。先进封装采用先进的设计思路和集成工艺,对芯片进行封装级重构,有效满足了芯片小尺寸、高性能、高可靠性和低成本的要求,已被广泛应用于计算机、通信、消费类电子、医疗、航空航天等领域,极大推动了封装技术以及整个电子行业向前发展。
先进封装设计是指将多个小芯粒以2.5D中介板或3D堆叠的方式整合在一个封装体内,流程主要包括基板和中介转接板结构设计、版图设计、功能和物理验证等。
在先进封装的版图设计环节中,需要处理大量高密度I/O(输入/输出)管脚,这使得传统的手动布线耗时巨大,严重影响设计效率。公司先进封装自动布线工具Storm支持业界主流的先进封装硅基工艺和有机RDL(ReDistributionLayer重布线层)工艺,实现了多芯片间的大规模互联布线、高密度逃逸式布线以及大面积电源地平面布线等功能。用户可自主选择适配硅基工艺的曼哈顿图形布线或者有机RDL工艺的135度图形布线,大幅提升了先进封装版图设计效率,解决了先进封装设计流程中大规模版图布线效率低下的痛点问题,实现了先进封装布线自动化。报告期内,先进封装自动布线工具Storm增加了网表预检、Daisy-Chain测试结构解析等功能,重点加强了有机RDL布线模块的易用性,适用于多芯片交错摆放以及多芯片大小不一致等多种应用场景;并完成了先进封装自动布线工具Storm和先进封装物理验证工具Argus的整合,在功能增强的同时,打通了先进封装版图布线和物理验证的流程。
另外,随着先进封装向小型化及高集成方向发展,大规模异形版图验证以及热效应导致的版图变形检查等成为亟待解决的问题,先进封装物理验证面临巨大挑战。先进封装物理验证工具Argus-PKG针对先进封装版图设计中不规则图形导致的大量验证伪错问题,以及版图变形等难题,采用了异形版图处理、容差处理、异构多芯片整合以及系统连接关系检查等关键核心技术,突破了传统物理验证工具在先进封装设计中面临的大规模伪错、校错效率低下等瓶颈问题,大幅提升了先进封装设计物理验证的精度和效率。
(四)公司主要经营模式
1、盈利模式
公司主要从事EDA工具软件的开发、销售及相关服务,主要盈利模式如下:(1)公司EDA工具软件主要通过授权模式向客户销售,收取授权费。公司对具体EDA工具软件产品的授权一般以合同约定的时间周期为限;(2)公司的技术开发服务业务主要按具体项目向客户收取服务费用,一般按照项目工作量和技术难度等因素综合定价。随着行业技术不断革新,工艺要求不断提升,客户不断提出新的产品和服务需求,从而使得公司能够持续盈利。
2、采购模式
公司作为提供工具软件及服务的企业而非生产型企业,业务流程不涉及生产环节,主要采购需求包括委托开发、房租物业、软硬件设备、外购产品、测试服务、技术服务等。公司的采购模式分为单一来源采购、询价采购和招标三种。针对某些领域仅有唯一企业能够提供相关服务的,公司选择单一来源采购;对于存在多家企业能够提供相关服务的,公司选择询价或招标两种采购模式。
对于软硬件、委托开发、测试服务和技术服务等采购需求,主要由需求部门提出采购申请,经相关各级领导审批后,由具体负责采购的部门进行采购。
3、研发模式
公司的研发按照项目立项、项目开发与测试和项目发布等顺序进行:
公司研发工作流程机制
4、销售模式
公司目前通过直销的方式进行销售。公司设立营销中心,负责市场推广及营销工作。公司各类EDA软件产品和相关技术开发服务主要应用于集成电路设计、制造和封装领域。公司一方面通过产品质量和服务质量等方面的优势吸引客户,另一方面通过行业会议、网络、展览等渠道对产品进行市场推广。
(五)市场地位
1、公司在EDA工具软件领域市场份额稳居本土EDA企业首位
公司通过十余年发展再创新,凭借模拟电路设计全流程EDA工具系统、存储电路设计全流程EDA工具系统、射频电路设计全流程EDA工具系统、数字电路设计EDA工具、平板显示电路设计全流程EDA工具系统、晶圆制造EDA工具和先进封装设计EDA工具等领域的优势,不断获得市场突破,截至2023年6月30日,公司拥有约600家国内外客户。根据赛迪智库数据,2020年,EDA领域本土企业国内市场总销售额为7.6亿元,公司市场份额占比保持在50%以上。2022年,公司实现销售收入7.98亿元,同比增长37.76%,稳居本土EDA企业首位。
2、公司产品实力受到业界的广泛认可
公司是国内最早从事EDA研发的企业之一,多年来始终专注于EDA工具软件的开发、销售及相关服务,已经成为国内规模最大、产品线最完整、综合技术实力最强的EDA企业,是“EDA国家工程研究中心”的依托单位。公司产品实力受到业界的广泛认可,曾荣获“第二届集成电路产业技术创新奖(成果产业化奖)”、“中国半导体创新产品和技术奖”、“第八届中国电子信息博览会创新奖”、“中国IC设计成就奖20周年特殊贡献奖”、“中国芯优秀支撑服务企业奖”等多项荣誉。凭借优质的产品与服务,公司与国内外芯片设计主要企业、晶圆制造代工主要企业、平板显示电路设计主要企业均建立了良好的业务合作关系,并通过持续的技术优化和产品迭代稳定与深化客户合作。
3、依托领先的科研实力承担多项国家重大项目
EDA行业作为典型的技术驱动型行业,突出的研发实力是奠定市场地位的基础。近年来,公司研发并掌握了多项核心EDA技术,具备行业领先的技术优势。公司凭借核心技术实力以及在行业的领先地位,先后承担了诸多国家级重大科研项目,其中包括国家“核心电子器件、高端通用芯片及基础软件产品”重大科技专项中的“先进EDA工具平台开发”与“EDA工具系统开发及应用”课题项目以及科技部重点专项“超低电压高精度时序分析技术”和“EDA创新技术研究”课题项目等。
(六)主要的业绩驱动因素
报告期内,公司紧紧围绕2023年度经营目标,积极开展各项工作,截至2023年6月30日,营业收入40,483.48万元,同比增长51.92%,实现净利润8,381.04万元,同比增长107.30%。主要因素如下:
1、持续开展技术产品创新,巩固市场地位
公司作为技术驱动型企业,技术产品创新是公司高质量发展的源动力。公司广纳各类人才,不断加强对人才的培养,加快产品的布局和技术的研究改进,在推动既有产品迭代升级的同时,积极开展短板技术的攻关工作,扩充产品版图,提升产品质量;在巩固已有优势市场的前提下,积极探索新的业务增长点。同时,进一步加大对创新技术的专利布局和申请,截至2023年6月30日,公司共拥有已授权专利239项,已获软件著作权129项。
2、不断加强区域战略协同,积极拓展新客户
华大九天总部设立在北京,同时在美国、韩国以及国内的上海、南京、成都、深圳等地设立了子公司,各地子公司团队规模不断增长,在补充研发力量的同时,强化了与当地客户的互动,提升了服务的及时性和客户满意度。同时,通过与客户合作的深度和广度不断加深,能够更迅速准确的了解了客户需求,为设计出更具有竞争力、满足客户实际需求的EDA产品提供了有力的保障,也为进一步扩展各地的客户群体提供了强有力的支撑。
3、不断加强员工团队建设,保障公司稳定发展
EDA属于多学科交叉领域,是算法密集型的大型工业软件系统,其开发过程需要计算机、数学、物理、电子电路、工艺等多种学科和专业的高端人才,对人才的综合技能要求很高。培养一名EDA研发人才,从高校课题研究到从业实践的全过程往往需要10年左右的时间。为了满足公司业务发展需要的EDA人才的质量和数量要求,公司一方面加强各类专业技术培训,做好员工的用、育、留工作;另一方面加强与高校的合作,注重校企联合培养,加大EDA人才的培养的广度及力度。通过加强员工团队建设,保障了公司的长期、持续、健康发展和业绩提升。
二、核心竞争力分析
(一)战略专注与历史积累优势
公司自成立以来,始终专注于EDA领域,积累了丰富的产品和技术经验,并树立了良好的市场形象和客户口碑。公司以EDA工具软件为核心,围绕集成电路设计、晶圆制造和封装等客户多种需求,为客户提供EDA解决方案。
我国集成电路产业及EDA行业起步较晚,且长期处于被美国等国家封锁隔离的状态。相关企业需要长期的高资金、高人力投入并不断试错。先发企业具有明显的历史积累优势,新生企业的准入门槛极高。
公司初始团队部分成员曾参与设计了中国第一款具有自主知识产权的EDA工具-“熊猫ICCAD系统”。公司在技术开发和业务拓展上一直聚焦于EDA领域,围绕EDA技术不断创新,具有显著的历史积累优势。
(二)领先的核心技术和可持续研发体系优势
公司掌握较为先进的、关键性、基础性EDA工具软件技术,并通过自主研发创新不断将技术积累转化为多项专利技术和技术秘密,能够保证公司业务经营的独立性、完整性及其技术服务的安全可靠性。截至2023年6月30日,公司共拥有已授权发明专利239项,软件著作权129项。
公司目前EDA工具软件产品和服务覆盖模拟电路设计、存储电路设计、射频电路设计、数字电路设计、平板显示电路设计、晶圆制造和先进封装设计等领域。其中,模拟电路设计全流程EDA工具系统是全球领先的模拟电路设计全流程EDA解决方案之一;存储电路设计全流程EDA工具系统是国内领先的存储电路设计全流程解决方案;射频电路设计全流程EDA工具系统是国内唯一的射频电路设计全流程EDA工具系统;平板显示电路设计全流程EDA工具系统是全球领先的商业化全流程设计系统,多项技术达到国际领先水平,填补了国内平板设计EDA专业软件的空白;数字电路和晶圆制造等方面的部分工具也具有独特的技术优势,部分工具达到国际领先水平;先进封装设计关键解决方案填补了该领域国内EDA工具的空白。
为确保自身的竞争力,公司保持了持续高比例的研发投入。报告期内,公司研发费用31,379.37万元,占营业收入的比例为77.51%。公司建立了一套较为完善的持续创新机制,以加强人才的引进和培养,以及加强产业链上下游的价值发现等。在保持现有核心技术不断迭代、改进和优化的基础上,积极学习吸收、研究和开发新产品、新技术,及时响应客户和市场需求,补充和完善EDA产品和技术解决方案,使得公司逐渐成为在产业链中发挥关键作用的重要平台。
(三)全流程工具覆盖优势
公司目前在模拟电路设计、存储电路设计、射频电路设计和平板显示电路设计领域已经实现了对设计全流程工具的覆盖,在数字电路设计等其他EDA领域方面也在不断提升全流程工具的覆盖率。实现设计全流程工具覆盖,对EDA企业具有重要意义,主要包括:①设计全流程工具是我国集成电路产业健康持续发展的支撑和保障。EDA主流工具种类繁多,任何一个关键环节的缺失,都将制约我国集成电路产业的发展。因此,实现EDA工具全流程覆盖契合我国集成电路产业发展的需要,也是公司行业战略地位的重要体现。②设计全流程工具可以提升公司的市场竞争力。对于用户而言,相比组合使用多家EDA厂商的点工具,采用同平台的全流程产品能够实现更好的数据兼容性、精度一致性,并且能够显著降低使用成本、提升使用效率。因此能够提供全流程EDA工具的厂商对客户吸引力更大,具有更强的市场竞争优势。③设计全流程工具可以促进国内生态链的建设和技术进步,实现对国外产品的全面替代,有利于促进国内集成电路产业自主生态链的建设。基于公司的全流程EDA工具系统,可以针对新材料、新工艺、新技术等提供全系统定制化服务,促进集成电路产业的技术进步。
(四)优质的客户群体优势
作为国内EDA行业的龙头企业,华大九天致力于面向集成电路产业提供一站式EDA工具软件产品及相关服务,EDA工具软件产品和相关服务已广泛获得客户认可,与国内外主要集成电路设计企业、晶圆制造企业、封装企业、平板厂商建立了良好的业务合作关系,并通过持续的技术优化和产品迭代稳定与深化客户合作。
公司的重点客户在所属领域具有技术代表性和先进性,这些客户对服务商的选择极为慎重、严苛,他们与公司的合作在业内产生了较强的示范效应。目前,凭借为各类客户提供多类型的软件产品和服务,公司目前拥有全球客户约600家,已在业内树立了良好的服务口碑和信誉,这为公司开拓新市场、达成新合作建立了优势。
(五)突出的品牌优势
雄厚的技术实力和长期的历史积累使得公司的产品和服务受到了客户的广泛认可。经过多年发展,公司目前已成为国内规模最大、产品线最完整、综合技术实力最强的EDA企业。公司现拥有“EDA(电子设计自动化)国家工程研究中心”、“国家企业技术中心”和“博士后科研工作站”。2022年8月,公司被认定为国家级第四批专精特新“小巨人”企业。近年来,公司凭借核心技术实力以及在行业的领先地位,先后承担了诸多重大科研项目,技术水平得到了肯定。
公司为EDA行业乃至整个集成电路产业所做出的贡献获得了各界的广泛认可,曾荣获“第二届集成电路产业技术创新奖(成果产业化奖)”、“中国半导体创新产品和技术奖”、“第八届中国电子信息博览会创新奖”、“中国IC设计成就奖20周年特殊贡献奖”、“中国芯优秀支撑服务企业奖”等多项荣誉。2023年1月,公司高速高可靠全仿真系统荣获国家工业和信息化部“2022年工业软件优秀产品”。
三、公司面临的风险和应对措施
(一)技术创新、产品升级的风险
EDA工具是算法密集型的大型工业软件系统,融合了图形学、计算数学、微电子学、拓扑逻辑学、材料学以及人工智能等多学科的算法技术,需要与半导体加工工艺紧密结合,其技术含量较高,开发流程复杂,如果对产品属性判断错误或者对自身技术开发能力评估有误,可能会导致公司产品项目研发周期延长或预期功能无法实现。另一方面,由于先发性和全面性对公司产品占据市场份额起到较大作用,如果在产品升级迭代期间,竞争对手优先公司设计出新一代性能的产品,可能导致公司丢失一定的市场份额,影响公司发展。
应对措施:公司将通过加大研发投入、并购或者技术引进等方式,加快补齐产品缺项,迭代升级已有产品,提高产品市场竞争力,提升综合技术实力,同时加强产业链上下游战略合作,建立良好的合作关系,加强EDA技术产品与加工工艺的结合。
(二)技术人员流失或不足的风险
作为典型的技术驱动型行业,EDA行业对于专业人才尤其是研发人员的依赖程度较高,专业技术人员是公司生存和发展的重要基石。随着市场需求的不断增长和行业竞争的日益激烈,EDA行业对于专业技术人才的竞争不断加剧,若公司不能提供更好的发展平台、更具市场竞争力的薪酬待遇及良好的研发条件,可能面临技术人员流失的风险;同时,随着公司募集资金投资项目的实施,公司资产和经营规模将迅速扩张,对于专业技术人才的需求也将有所提升,公司可能面临技术人才不足的风险。
应对措施:公司将通过优化薪酬体系、加强校企合作等方式吸引和挖掘更多EDA技术人才,同时发挥地方对EDA人才的优惠政策吸引和留住人才。
(三)产业政策发生变化的风险
自2000年国务院颁布《鼓励软件产业和集成电路产业发展的若干政策》(国发(2000) 18号)以来,国家相关部委出台了一系列政策大力支持集成电路、工业软件等核心领域的技术开发,意图解决相关领域核心技术受制于人的问题,实现关键技术国产化、自主可控,加快产融结合,推动技术突破和产业应用。如果未来国家对集成电路、软件产业相关扶持政策的持续性无法得到保障,国产化替代的紧迫性降低,则可能会影响公司生产经营和业务发展。
应对措施:加强国家及地方相关政策研究,随时跟踪集成电路和EDA产业政策变化,及时制定应对策略;加快推进公司技术突破和产品迭代,扩大国内外市场份额,减小相关政策变化带来的不利影响。
(四)市场竞争风险
公司通过多年来的技术研发、市场开拓已经建立了行业品牌和相对稳固的客户群体,但国内市场仍由主要国际知名厂商新思科技、楷登电子和西门子EDA主导。与上述国际顶级厂商相比,公司在品牌影响力、技术研发水平、资金实力和市场占有率等方面均存在一定差距。此外,随着国家对EDA技术发展的日益重视,国内其他EDA企业和技术团队逐步增加,给公司带来了一定竞争压力,公司的经营业绩可能受到不利影响。
应对措施:公司将持续加大研发投入,加快技术创新步伐,提升产品市场竞争力,打造拳头产品,积极开拓新市场,树立国产应用标杆,扩大国内市场份额。
(五)国际贸易摩擦风险
近年来,伴随着全球产业格局的深度调整,国际贸易摩擦不断,已有部分国家通过贸易保护等手段,对中国相关产业的发展造成了客观不利影响,中国企业将面对不断增加的国际贸易摩擦和贸易争端。报告期内,公司来自境外的营业收入为2,206.27万元,比2022年上半年增长8.22%,占营业收入比例为5.45%。若未来与中国相关的国际贸易摩擦持续发生,公司将面临业务限制、交易成本增加、上游供给受阻或下游需求受限等风险,也可能对公司生产经营和业务发展带来不利影响。
应对措施:公司将加强宏观经济形势研判,深入分析国内外EDA发展形势的变化,梳理国际贸易摩擦可能带来的风险点清单,尽快制定应对策略,积极应对宏观环境变化对公司财务状况、技术成果、市场拓展等方面的影响。
(六)海外经营风险
公司产品面向全球市场,在海外设有子公司经营。且随着公司产品技术水平提升和海外市场开拓,未来会与更多境外的客户、供应商开展合作。由于不同国家或地区的市场环境、政策法规和社会文化不同,如果境外子公司、海外客户和供应商所在国家或地区的市场环境、政策法规发生不利变化,或公司国际化管理能力不足,将会对公司的生产经营和业务发展产生不利影响。
应对措施:公司将随时关注国际经济和政治环境变化,加强海外市场环境及政策法规分析、发展趋势预判、重大风险预警等研究工作,提高海外经营风险防控能力。
0
False
SZ301269
华大九天
/stock/business/sz301269/
/stock/business/sz301269/